CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦

搜索资源列表

  1. FPGA

    0下载:
  2. 基于FPGA的正弦信号发生器,该程序是由VHDL语言编程而成。-FPGA-based sinusoidal signal generator, the program is made by the VHDL programming language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:401287
    • 提供者:曾明
  1. singt2048

    0下载:
  2. 正弦波信号发生器 VHDL-Sine wave signal generator sine wave signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1349504
    • 提供者:yan frank
  1. waveform_generator

    0下载:
  2. VHDL语言编写的波形发生器程序,可以产生方波、三角波、正弦波、锯齿波等波形-Waveform generator written in VHDL program that can generate a square wave, triangle wave, sine wave, sawtooth wave, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:617397
    • 提供者:ldong1989
  1. singt

    0下载:
  2. 在EP2C35上用VHDL语言编程实现的正弦波形发生器-VHDL language used in the EP2C35 programming on the sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1623373
    • 提供者:sxy
  1. sin

    0下载:
  2. 基于VHDL硬件描述语言的正弦波利用Maxplus的仿真实例-VHDL hardware descr iption language based on the sine wave using the simulation Maxplus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:411545
    • 提供者:dongmei
  1. sin

    0下载:
  2. 设计一个正弦信号发生器,用VHDL设计出同步寄存器、相位累加器等,正弦ROM查找表建议采用定制器件的方法完成,正弦ROM数据文件可以用C代码完成。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:87617
    • 提供者:朱恩培
  1. sin

    0下载:
  2. 基于vhdl的正弦信号发生器,经验证,可作为单独模块使用-The sine signal generator based on VHDL, experience card
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:140516
    • 提供者:
  1. func_generator

    0下载:
  2. 一种可调频率的正弦信号发生器的vhdl实现,含测试文件-An adjustable frequency sinusoidal signal generator vhdl implementation, including the test file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:872082
    • 提供者:sylor
  1. DDS

    0下载:
  2. dds实现正弦波vhdl dds宏功能模块 实现各种波形-sine vhdl dds dds achieve macro modules to achieve a variety of waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:522519
    • 提供者:里吗
  1. singt

    0下载:
  2. 基于fpga的正弦信号发生器,VHDL语言写的-Based on fpga sinusoidal signal generator, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1460
    • 提供者:白羽
  1. jiyuVHDLshizhongchengxu

    0下载:
  2. 基于VHDL的时钟、正弦波和方波实验报告-VHDL-based clock, sine and square wave experiment report
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:726523
    • 提供者:曾斌
  1. dds

    0下载:
  2. DDS数字函数信号发生器,采用VHDL编写,可以产生正弦波、锯齿波、三角波信号,信号的频率和相位都可调。-DDS Digital Function Generator using VHDL write, you can produce sine, sawtooth, triangle wave signal, the signal s frequency and phase are adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1163
    • 提供者:郝心情
  1. ALTERA@FPGA@example

    0下载:
  2. 基于ALTERA的几个VHDL实例,如FPGA单片机,DDS的正弦信号发生器,FPGA视频监控-VHDL example:such as DDS Sine signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:27246957
    • 提供者:bin
  1. dds

    0下载:
  2. 这是个基于dds的正弦波发生器的程序,用vhdl语言编写, 希望对大家有用。 -This is a sine wave generator based on dds program, using vhdl language, want to be useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:694
    • 提供者:杜维轩
  1. DDS

    0下载:
  2. DDS的正弦函数源代码实现。VHDL的源代码-dds vhdl you undersand you you you must understand and 20 gou le ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5016
    • 提供者:男哥
  1. design

    0下载:
  2. 基于vhdl的dds发生器,精度可达到1Hz,包含正弦波,三角波,方波-DDS based on VHDL,display resolution 1Hz, include sine wave,triangular wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:400827
    • 提供者:海蓝漂流瓶
  1. sinx

    0下载:
  2. 完整的正弦波频率产生,详细的源程序以及完整仿真,对学习vhdl及eda很有帮助,在modelsim中仿真-Complete sine wave frequency generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:404061
    • 提供者:okitaaoi
  1. singen

    0下载:
  2. 利用vhdl在quartusii中编写的正弦信号发生器,并在quartusii中进行了仿真-Using the VHDL in a QuartusII in the preparation of the sinusoidal signal generator, and makes simulation in QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:551886
    • 提供者:sunyanjuan
  1. fsk_tz

    0下载:
  2. vhdl实现FSK调制,本次毕业设计的数据速率 1.2kb/s,要求产生一个1.2kHz的正弦信号,对正弦信号每周期取100个采样点,因此要求产生3个时钟信号:1.2kHz(数据速率)、120kHz(产生1.2kHz正弦信号的输入时钟)、240kHz(产生2.4kHz正弦信号的输入时钟)。基准时钟已由一个外部时钟120MHz提供,要得到前面三种时钟,就需要首先设计一个模50的分频器产生240kHz信号,再设计一个二分频器,生产一个120kHz的信号,然后再前面的基础上再设计一个模100的分频器,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:768
    • 提供者:
  1. zheng_xian_bo

    0下载:
  2. 用Quartus II 9.0 产生正弦波的VHDL源代码。-Quartus II 9.0 VHDL source code of the sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:1900967
    • 提供者:天涯
« 1 2 ... 5 6 7 8 9 1011 12 13 »
搜珍网 www.dssz.com